FPGA/ПЛИС - Сдвиговый регистр или то, о чем не расскажут в видео для начинающих :: атрибуты синтеза

SRL-Привет! Сдвиговый регистр – это одна из наиболее часто применяемых конструкций в проектах на ПЛИС. В комментариях под прошлым видео вы проявили интерес к практическому воплощению сущностей различных ресурсов, имеющихся внутри ПЛИС. Сегодня мы уделим внимание тому, как можно и нужно писать VHDL и Verilog код для сдвиговых регистров, но при этом, чтобы синтезатор понял, что мы хотим реализовать сдвиговый регистр из нескольких триггеров или же задействовать для его реализации специальные ресурсы, такие SRL регистры. Мы разберем случаи, в которых надо и не надо использовать аппаратные сдвиговые регистры, разберем тонкие моменты их имплементации. Мы затронем пересечение тактовых доменов и увидим, что в некоторых случаях правильно описанный сдвиговый регистр может стать причиной некорректного поведения схемы. ВИДЕО ДЛЯ ВСЕХ! #FPGA IDE: #Vivado #Quartus HDL #VHDL #VERILOG -- Где почитать? 1. Для пользователей Xilinx Vivado 1.1 UG901 Vivado Design Suite Synthesis Guide https://www
Back to Top