Icarus verilog + GTKWave installing and running | Free software for verilog HDL

Iverilog is a free software where we can compile & check the waveform of our design , I have explained in the video , how to download and run it. Steps - 1. Search for Icarus verilog , select the first link , then download the required file according to os and config of your pc . 2 .set the Environmental variables 3. Create your design file 4 . Use the below commands in your cmd Compile it: iverilog -o dsn counter_tb.v counter.v Then run it: vvp dsn Then look at the waveform: gtkwave & Please do subscribe 👍
Back to Top